그래서 VHDL로 계층 적 구성 요소를 만들었습니다. 현재 최상위 엔티티는 다음과 같습니다. library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--This component takes 2 numbers written in scientific notation and returns
항상 블록 내에서 다른 모듈의 출력을 사용하고 싶습니다. 현재이 코드를 작동시키는 유일한 방법은 pi_in 지정 뒤에 # 1을 추가하여 Pi가 완료 될 수있는 충분한 시간이 경과했는지 확인하는 것입니다. 모듈 pLayer.v에서 주요부 : Pi pi(pi_in,pi_out);
always @(*)
begin
for(i=0; i<constan
Vhdl에 다음 코드 조각이 있습니다.이 신호 S1을 출력 포트로 조건부로 리디렉션하고 싶습니다. 누군가가 이것을 통해 나를 안내 할 수 있으면 도움이 될 것입니다. Gen: for index in 0 to 4 generate
signal s1 : ARRAY_TYPE; --- array of (0 to 7) std_logic_vector (7 downt
최종 목표는 Altera DE2 FPGA의 얼굴/물체 감지 및 일반적인 이미지 프로세싱 어플리케이션입니다. Catapult C를 사용하여 FPGA를 프로그래밍하고 있습니다 (Verilog 또는 VHDL이 아닌 C 코드를 사용하고 있습니다). 제 질문은 Catapult C가 OpenCV 라이브러리를 지원하는지, 그리고 Catapult에서 실행되도록 라이브러리