ghdl

    -1

    1답변

    vhdl에서 제약되지 않은 벡터를 반환하는 가장 좋은 방법은 무엇입니까? 이 경우 function func(selector : natural) return std_logic_vector is begin case selector is when 3 => return std_logic_vector("11"); when 4 => r

    2

    2답변

    VHDL에서 간단한 게임 구현을 테스트 할 때 "테스트 끝"메시지를 인쇄 한 후 빈 테스트 벤치의 GHDL 시뮬레이션이 CPU 사용률이 100 %로 중단됩니다. ----- Package ------------------------------ library ieee; use ieee.std_logic_1164.all; package data_types

    0

    3답변

    간단한 카운터 구현을 테스트 할 때 GHDL 시뮬레이션은 시뮬레이션을 종료하지 않습니다. 내 의도는 주 프로세스에 의해 변경된 공유 변수를 사용하여 두 프로세스를 동시에 중지하는 것입니다. 그러나 주요 프로세스는 시계 프로세스를 멈추지 않는 것입니다. 내 카운터 구현은 다음과 같습니다 entity dff is port( direction, rese

    0

    1답변

    이 오류는 VHDL 2008을 지원하지 않는 GHDL의 결과라고 생각합니다. ff0 D에 벡터 din의 값이 할당되면 오류 27/28이 발생합니다 . 포트 맵에서 벡터를 인덱싱하는 적절한 방법은 무엇입니까? 오류를 우회하려고 count_temp를 만들었지 만 도움이되지 않았기 때문에 추가 변수가 필요하지 않았습니다. 감사. library ieee;

    1

    1답변

    을 사용하는 GHDL + 코드 범위이 page (2005 년 Arnim Läuger 출신)은 도구 체인 {GHDL + gcov}이 VHDL 코드 적용을 수행 할 수 있다고 설명합니다. 질문 : GCC, GCOV 및 GHDL의 최신 버전에서는 여전히 작동합니까?는 다음 명령은 $ ghdl -a -Wc,-ftest-coverage -Wc,-fprofile-ar

    -1

    1답변

    그래서 VHDL로 계층 적 구성 요소를 만들었습니다. 현재 최상위 엔티티는 다음과 같습니다. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --This component takes 2 numbers written in scientific notation and returns

    0

    1답변

    이 코드의 영향을 이해하는 데 어려움이있어 : 내 구성 요소 : library IEEE; use IEEE.std_logic_1164.all; entity problem is port( clk : in std_logic; a : in std_logic); end problem; architecture impl of prob

    1

    1답변

    은 여기 (--std가 설정되지 않은) ISE 14.7 GHDL 0.31로 컴파일 될 수있는 유효 VHDL 코드를 가지고 옵션 경우 신스와 XSIM) 알테라 Quatus II 13.1과 마지막으로 QuestaSim 10.0d 는 GHDL은 '이 코드를 이해 - std '가 설정되지 않습니다. '--std'를 VHDL-2002로 설정하면 for-loops의

    0

    1답변

    ghdl 컴파일러를 사용하여 VHDL 코드를 컴파일하려고합니다. util.misc_conv_pkg 및 이을 util.vstring : 그러나 나는 두 폴더의 유틸리티 라이브러리를 놓친 거지. 때문에이 코드는 LIBRARY util; USE util.misc_conv_pkg.ALL; USE util.vstring.ALL; 작동하지 않습니

    0

    1답변

    저는 ghdl (0.32rc1)로 자동화 된 테스트 벤치를 실행하고 있습니다. 일부 테스트 벤치에서는 자일링스 ISE의 ​​고유 한 프리미티브가 필요하다. 내 예제를 테스트하고 싶다면 두 개의 external files을 준비했습니다. 필자의 예제를 실행하려면 MUXCY 프리미티브를 찾을 수 있도록 자일링스 ISE 또는 Vivado 설치가 필요하다. 폴더