ghdl

    0

    2답변

    Windows 용 GHDL 0.29를 사용하고 있습니다. 다음 프로그램은 "Hello world!" use std.textio.all; entity hello_world is end hello_world; architecture behaviour of hello_world is begin process variable l :

    1

    1답변

    Mux8 : 3을 사용하여 전체 가산기를 만들려고했으나 실행되지 않습니다! 실행할 때 명령 줄에서 오류가 발생하지 않지만 ghdl이 시작되지 않습니다! 누군가이 코드를 읽고 내가 무엇을 할 수 있는지 말해 줄 수 있습니까? 고마워요. -----------------------MUX8ingressi------------------- libra

    0

    2답변

    첫 번째 질문 : ghdl 아래에서 vhdl 파일을 컴파일하는 방법이 궁금합니다?/C++, 우리 -Werror --Wunused 변수 -Wunused 값 -Wunused 기능 -Wfloat 동등 - 벽을 사용하는 C에 . 내 두 번째 질문 : ghdl 위트를 사용할 수있는 방법이 있습니까? 그런 것들?

    -2

    1답변

    -----------begin part1.vhdl--------------------- library ieee; use ieee.std_logic_1164.all; entity part1 is generic (width : integer :=7); PORT(a, b, c, d: IN std_logic_vector(width do

    0

    2답변

    Windows에서 "ghdl -e something"명령으로 실행 파일을 만들 수 없다는 것을 알고 있습니다. 리눅스에서는 그것이 만들어집니다. 실행 파일에 입력 파일을 제공해야합니다. 내가 리눅스에 있다면 ./something < input.inp에 의해 그렇게 할 수있다. 어떻게하면 윈도우에서 할 수 있을까? 원인 실행 파일이 생성되지 않습니다. 창에

    0

    3답변

    저는 ghdl을 우분투 10.10 (저장소에서 apt-get 사용)에 설치하고 ghdl 설명서에서 사용 가능한 hello_world 예제를 사용했습니다. 나는 (-a)를 분석하고 (-e) 정교하게 (-r) 실행하려고 할 때 다음과 같은 오류가 발생한다. /usr/lib/ghdl/bin/ghdl : 컴파일 오류 내가 성공하지 않고 너무하지만 루트로 작업을 시

    -3

    1답변

    소스 코드에서 특정 파일을 편집 한 후 GHDL 소스 코드를 다시 컴파일하는 방법을 알려주십시오. 미리 감사드립니다. 감사 라구