vga

    3

    2답변

    돌아 가기 [456] Linux 및 Matrox 그래픽 어댑터를 사용하고있었습니다. 프로그래밍을 위해 저는 종종 text mode을 사용했고 X11로 부팅하는 것을 귀찮게하지 않았습니다. 이 그래픽 카드는 정말 높은 텍스트 해상도를 허용하며 여전히 읽을 수있는 글꼴을 사용합니다. 때때로이 글꼴이 X11에서 프로그래밍 할 때 잘 작동하는지 테스트하고 싶습니다

    0

    3답변

    이 VHDL을 사용하여 화면에 격자를 만들려고합니다. 지금은 두 줄을 만들 수 있지만 화면을 새로 고침하면 줄이 움직입니다. 어디에서 오류가 발생하는지 알 수 없거나 누군가가 도움을 주거나 포인터를 제공 할 수 있습니까? library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.std_logic_unsigned.all

    2

    1답변

    정말 기능과 VGA의 아웃하지만 오히려 (통합 그래픽) 작동하는 방법의 기본 원리를 알고 싶은 게 아니에요, 인텔 웹 사이트는 말한다 - 그래서이 도난당한 메모리는 VGA 어댑터의 프레임 버퍼로 사용되며 VGA 그래픽 컨트롤러에 의한 모든 읽기/쓰기가 진행되고 거기에서 오는 것입니까? 1메가바이트 도난 VGA와 예 시스템은 따라서 위 시스템은 기존의 VGA

    0

    1답변

    이유는 모르겠지만 이것이 VGA를 설치 한 후 내 창을 표시하는 방법입니다. 맨 위에 오버 플로우되어 하단에 검은 색 빈 줄이 남습니다. 은 내가 VGA하지만 여전히 그 VGA 설치를 취소 할 수 있습니다 다른 모니터 설정을 확인하는

    0

    1답변

    내 VGA 컨트롤러 (베이시스 2 보드)에 클럭 분배기 (40 MHz)를 통합하는 동안 오류가 발생합니다. 코딩에 오류가 있습니다 - 입력 버퍼 instance_name/CLKIN_IBUFG_INST의 포트 I이 GND에 연결되었습니다. 이 오류를 제거하는 데 도움주세요! module anymodule(input wire clk,reset, output

    1

    2답변

    DOS 컴퓨터에서 24 비트 색상 깊이로 프로그램 할 수 있습니까? 나는 VGA가 8 비트 색 농도를 지원한다는 것을 알고 있지만, 24 비트를 처리하는 방법이 있습니까? Google에 대한 연구 결과가 없습니다. MS-DOS 대신에 FreeDOS에서 프로그래밍 중이며, 이것이 대답에 영향을 미친다 면요.

    1

    2답변

    알테라 DE1에서 VHDL을 사용하는 알고리즘 애니메이션을하고 있습니다. 이 프로젝트에서는 더 유익한 텍스트를 표시해야합니다. 나는 FPGA가 처음이다. 그러나 텍스트 디스플레이가 작동하는 방법을 배웠습니다. 모든 것은 각 문자에 메모리를 할당 한 다음 표시하는 방법입니다. 일부 프로그램을 검색하여 텍스트 디스플레이가 실제로 어떻게 작동하는지 테스트하려고했

    0

    1답변

    인텔 8086 (TASM)에 대한 인터럽트없이 그래픽 카드를 사용하여 직접 모양을 그리는 중입니다. 배경 및 전경색을 담당하는 바이트의 7 번째 비트가 문자를 깜박 거리게 만들 수 있음을 읽었습니다. . 색상 자체는 0-15의 색인이 생성되고 1 바이트 만 있지만 어떻게 설정합니까? 내 말은 : 나는 파란색 배경에 노란색 문자가되도록 1eh 컬러 바이트를

    0

    1답변

    두 개의 그래픽 카드 (통합 인텔 카드 및 NVIDIA 카드)가 있으며 두 모니터 모두 하나의 모니터 (VGA/HDMI)에 연결되어 있습니다. 어떻게 든 둘 다 서로 다른 출력을 가지고 있다고 (저는 윈도우 7과 VM을 가지고 있는데 각각은 하나의 카드를 사용합니다). 모니터에 보낼 수있는 명령/API가있어 VGA에서 HDMI 또는 다른 방향으로 입력을 자

    0

    1답변

    의 정의를 결정할 수 없습니다. 일부 VHDL 코드가 있습니다. entity ball is port(video_on : in std_logic; pixel_x,pixel_y : in std_logic_vector(10 downto 0); obj3_r,obj3_g,obj3_b : out std_logic_vector (3 dow