2012-10-31 2 views
5

일반 패키지를 사용할 수 있습니까? 즉, 엔터티를 인스턴스화 할 때 일부 generic을 제공하고 패키지는 그에 따라 달라집니다.VHDL의 일반 패키지

은 내가 여러 번 사용하려는 블록, 다른 패키지마다 (즉 다른 매개 변수) 내가 배열의 배열을 사용하기를 원하기 때문에 패키지를 사용하고 난 단지에 있다고 할 수

이 패키지.

답변

-2

아니요, 라이브러리 절이 정적으로 평가되기 때문에 필요한 것은 vhdl에서 불가능한 동적 바인딩과 같은 것입니다.

그러나 일반적인 값의 다른 "세트"를 제공하는 편리한 방법이 필요합니다. 이 경우 사용자가 정의한 유형의 레코드 또는 배열을 살펴 보는 것이 좋습니다. 예를 들어 구성 데이터가 들어있는 레코드 배열을 정의하고 각 인스턴스에 배열에서 자체 설정을 제공 할 수 있습니다. 깔끔하고 깨끗하며 모든 도구가이를 지원합니다.

+0

오, 알겠습니다 .. 나는 당신이 어떤 패키지의 가치에 기초하여 다른 패키지를 포함하기를 원할 것이라고 생각했다. – BennyBarns

4

예, 그렇지만 그 숫자는 VHDL-2008입니다. 따라서 사용 여부는 도구에 따라 다릅니다.

이 기능은 정말 강력합니다.

여기에 an example입니다.