2013-03-15 2 views
0

위해 나는 현재와 ModelSim SE의 5.8e를 사용하고 있습니다. SystemVerilog는 지원하지 않습니다. 내 프로젝트의 설계 및 검증을 위해 SystemVerilog를 사용해야한다. Modelsim의 버전이 sytemverilog의 설계 및 유효성 검증 서브 세트를 잘 지원하는지 어떤 아이디어가 있습니까? 전에 VCS를 사용했고 시뮬레이션을 위해 VCS 대신 ModelSim을 사용할 수 있다면 VCS를 찾으려고했습니다.ModelSim을 지원 SV

미리 감사드립니다.

답변

2

학생용 버전이므로이 중 하나를 시도하십시오 list. 나는 오픈 소스 시뮬레이터가없는 추측

, 당신이 뭔가를 발견하면 저희에게 알려주십시오.

다른 옵션 당신은뿐만 아니라 myhdl 체크 아웃 this 같은 다른 것들을 사용할 수 있습니다.

+0

정식 버전 라이센스를 얻을 수 있습니다. 그러나 어느 SV가 "검증"기능을 지원하는지 확신 할 수 없습니다. 어떤 추천? – newbie

+2

그들에게 전화해서 물어보십시오. – 0x90

3

this table에 따르면 ModelSim은 검증 기능이 아닌 SystemVerilog 설계 기능을 지원합니다. 이는 아마도 클래스, 무작위 화 또는 SV의 적용 범위 기능을 지원하지 않는다는 것을 의미합니다.

멘토 그래픽스의 최신 시뮬레이터 플랫폼은 Questa입니다. 이것은 실제로 Modelsim의 확장입니다. Questa는 SystemVerilog를 전적으로 지원합니다. 라이센스를 보유하고 있거나 취득 할 수 있다면 원하는대로 할 수 있습니다. 내 경험 EDA 시뮬레이터는 계층 형 방식으로 라이센스가 부여됩니다, 그래서 당신은 어떤 라이센스가있는 경우 일부 기능 만 사용할 수 있다는 것이다. http://www.mentor.com/products/fv/questa/

2

ModelSim을 10.1d가 SystemVerilog를 커버리지 SystemVerilog를 주장, randomize() 방법 및 블록 program 제외 SystemVerilog를 지원에

퀘 스타 시뮬레이터 마케팅 페이지이다. 학생 버전과 Altera-Starter 버전은 무료입니다.

확인을 위해 ModelSim 10.1d를 사용할 수 있습니다. 대부분의 검증 엔지니어 UVM 라이브러리를 사용하고 ModelSim can run UVM된다.

A trivial UVM testbench for ModelSim

관련 문제