2013-07-02 3 views
0

Verilog에 익숙하지 않아 FPGA 내에서 2 개의 물리적 핀을 연결하려고합니다. 나는 가지고있다 :Verilog에서 두 개의 네트를 연결하는 방법 (FPGA에서 두 개의 물리적 핀을 연결하는 방법)?

module top 
(
    pin1, 
    pin2 
); 

input pin1; 
output pin2; 

assign pin2 = pin1; 

핀 1과 핀 2는 제한 파일 (ucf 또는 xdc)의 물리적 핀에 할당된다.

옳은 일입니까? 본질적으로, 제 하드웨어에서 핀 1은 FPGA로 가고 핀 2는 FPGA에서 나오게됩니다. 핀 2로 운전하고 싶습니다.

감사합니다,

+1

신호를 출력 할 때 pin2는 출력이어야합니다. 이 문맥에서 "drive pin2 by pin1"이라는 용어는 실제로 전기 부하를 만드는 수단으로 사용되지만 일반적으로 FPGA의 출력 블록은 자체적으로 드라이버가 있습니다. 전기 부하가 아닌 입력 신호를 통과하기 만하면됩니다. – flolo

+0

고마워요, 편집했습니다. – Arash

답변

2

문제가 해결 하겠지만, 당신이 궁극적으로 수행하려는 작업에 따라 달라은/그들이 신호의 종류/무슨 일이 중요. 예를 들어 이것이 아마도 올바른 방법이 아닌 클럭 신호라면 (ODDR2 플립 플롭을 사용해야합니다. 자일링스를 사용 중이거나 알테라에 해당하는 것으로 가정). 당신은 또한 전기 스위치 자체를 만들지 않는다는 것을 깨달아야합니다. 그것은 논리적 스위치입니다.

+0

감사. 마치 FPGA가없는 것처럼 pin1과 pin2를 연결하면됩니다. – Arash

관련 문제