2013-03-10 2 views
-1

Verilog 모듈 내부에서 HTTP 요청을하는 C/C++/Python/Java 함수를 호출 할 수 있습니까?Verilog에서 HTTP 요청 만들기

+0

실제 하드웨어 또는 시뮬레이션에서만이 작업을 수행 하시겠습니까? – dwikle

+0

이렇게하면 Verilog 외부에서보다 직관적 인 작업을 수행 할 수 있습니다. Verilog 시뮬레이션 실행은 일반적으로 스크립트의 일부이므로 스크립트의 한 단계 더 나아간 것입니다. – toolic

+1

시뮬레이션에서만 –

답변

4

예, 'DPI'또는 'PLI'를 검색하십시오. SystemVerilog를 사용할 수있는 시뮬레이터를 사용한다면 DPI 솔루션의 오버 헤드가 훨씬 적습니다.

import "DPI" function void do_http(...) 

당신이 다음 일반 작업이나 기능처럼 Verilog를 내 do_http 호출 할 수 있습니다 어디에서 당신은의 나머지와 함께 명령 행에 do_http 구현하는 .c 파일을 전달합니다 기본적으로 그것의의 Verilog 끝이 될 것입니다 당신의 출처. 이것은 물론 상용 Verilog 시뮬레이터를 사용하고 있다고 가정합니다. 나는 이카루스가 아직 DPI를 지원하지 않는다고 생각한다.

VPI를 사용하면 휴대가 가능하지만 더 많은 코딩 작업이 필요합니다. 그게 당신이 필요로하는 것이라면, 당신이 직접 연구하는 것이 좋습니다.

관련 문제