2017-09-09 1 views
0

나는 4bits를 가지고 등록했다.Verilog에서 '1'은 무엇을 의미합니까?

reg[3:0] a; 

와 나는 분명히 그것이 내가 Verilog를 위해 새로운 문법에 대해 확실하지 오전 같은 1'b1과 1 하지

a <= '1; 

처럼 단일 비트를 할당 할. 누구든지 나를 계몽 수 있습니다.

+1

모든 구문은 무료 IEEE Std 1800-2012 – toolic

답변

2

이렇게하면 모든 비트가 1로 설정됩니다.

+6

에 설명되어 있습니다. 이것은 Verilog가 아닌 SystemVerilog 전용입니다. ''1''은 표현의 문맥을 모든 것으로 덮어 쓰는 것을 의미합니다. –

관련 문제