2011-03-08 7 views

답변

4

Verilog은 하드웨어를 설명하므로 루프 또는 모듈 호출과 관련하여이 컨텍스트에서 생각하는 것이 적절하지 않습니다. 질문의 의도를 정확하게 이해하면 동일한 입력 및 출력을 사용하여 동일한 모듈에 대해 여러 개의 인스턴스화를 원합니다.

이 작업을 수행하려면 Verilog의 generate statements을 사용하여 인스턴스화를 자동으로 생성 할 수 있습니다.

이멕스의 우수 verilog-mode에서 auto_template functionality을 사용할 수도 있습니다. 각 인스턴스화가 내 소스 코드에 명시 적으로 나타나므로이 방법을 사용하면 오류를 쉽게 발견 할 수 있습니다.

+0

그래서 for 루프 앞에 generate를 넣으시겠습니까? 네가하지 않으면 어떻게 될까? –

+0

내가 링크 된 페이지에는 사용 예가 나와 있습니다. 루프 생성 및 인스턴스 생성을 generate/endgenerate 블록에 포함시키지 않으면 툴이 불평 할 것이다. – jlf

0

jlf가 대답 했으므로 generate 문을 찾고 있습니다. 레지스터의 모든 비트를 거쳐 출력을 계산하는 것과 같은 for-loop를 사용하여 조합 논리를 모델링 할 수 있습니다. 이것은 테스트 블록의 항상 블록이거나 초기 블록 일 것입니다.

관련 문제