pwm

    2

    2답변

    나는 세 개의 타이머를 사용하여 위상 쉬프트 PWM 신호를 생성하려고합니다. TIM1가 TIM3는 TIM4의 위상을 생성하는데 사용되는 시프트 TIM4, 위상 트리거로서 사용된다 (는 1MHz에서 실행) 기준으로 사용된다 을 TIM3하여 신호 triggerd 시프트 요약하면 : - : 0,123,473 TIM1 --- 트리거> TIM3 --- 트리거 ---

    0

    1답변

    이에서 pwm/sys/class/pwm /을 볼 수 있습니다. 저는 현재 적절한 데이터에 올바른 데이터를 넣고 있으며 잘 작동합니다. 그건 문제가되지 않습니다. 문제는/sys/class/pwm/{port}/요청 파일이 활성화되기 전에/sys/class/pwm/{port}/요청 파일에서 "cat"을 수행해야한다는 것입니다. 재부팅하면 작동하지 않으므로 다시

    -2

    1답변

    JavaScript로 서보를 제어하는 ​​방법은 무엇입니까?

    0

    1답변

    VHDL (자일링스 ISE + ISim)의 스파르탄 3e 보드를 사용하여 피코 초 PWM 신호를 생성하려고합니다. library ieee; use ieee.std_logic_1164.all; entity pwm is port(clk : in std_logic; pwm_out : buffer std_logic); end enti

    0

    1답변

    안녕하세요 저는 핀에 타이머를 바인딩하는 방법을 이해하는 몇 가지 문제를 가지고 있기 때문에 ... 내 코드가 실행되고 있지 않은지의 #include <avr/io.h> void init_PWM(void) { TCCR0A|=(1<<WGM00)|(1<<WGM01)|(1<<COM0A1)|(1<<CS00); //Set OC0 PIN

    0

    1답변

    RGB에서 다른 색상을 표시해야하는 프로젝트에서 작업 중입니다. LED에서 다른 색상을 사용하기 위해 PWM을 사용하고 있습니다. 내 그림은 내가 지금 일하고있는 PIC24FJ64GA004입니다. 이 프로젝트의 기본 개념은 스위치를 사용하여 색상을 제어하는 ​​것입니다. RGB led의 색상은 일 및 달의 연도에 따라 결정됩니다. 이를 위해 7 세그먼트 스

    1

    1답변

    Arduino Mega 2560으로 작업하고 있습니다. 내가 현재 가지고있는 문제는 내가 사용하고있는 서보 모터가 아이들 상태에서 약간의 소음을 낸다는 것입니다. 그것은 순수한 신호가 아니기 때문입니다. 마이크로 컨트롤러에 인터럽트가있는 PWM을 어떻게 생성합니까? 인터럽트를 사용하면 매번 정확히 같은 위치에 카운터가 배치됩니까? 그렇지 않다면 어떻게 고해

    1

    1답변

    좋은 하루! 는 다음 사항을 고려하십시오 : 내가 "Emebedded 매트랩 기능"을 사용하여 Simulnk에서 Matlab의 기능 square()을 사용하여 구형파를 생성합니다. 나는 eml.extrinsic, 를 사용하여 동일한을 시도하지만 난 다음 스크린 샷 참조하십시오 'y'<the output from embedded function block>

    0

    1답변

    PIC16F877 MCU에서 PWM 모듈을 사용하려고했지만 CCP1/CCP2 핀 모두에서 플랫 로우 레벨입니다. PWM 모듈을 구성하고 시작하는 코드는 다음과 같습니다. // Configure PWM // Timer 2 (PWM timebase) TMR2 = 0; //Clear timer TOUTPS0 = 0; TOUTPS1 = 0; TOUTPS2

    0

    1답변

    제목은 거의 자체 설명입니다. 출력 비교 레지스터 (OC8RS 또는 OC8R)에 쓸 때 외부 인터럽트 INT4 핀의 인터럽트가 트리거됩니다. PWM 용 Timer3 & OC8 모듈을 사용하고 있습니다. INT4 핀은 눌려지면 인터럽트를 트리거하는 물리적 스위치에 연결됩니다. 그러나, 내 PWM 함수에 대한 OC8RS 레지스터를 업데이트하면 인터럽트가 INT