2013-05-15 2 views
2

sirs 긴급하게 해결해야 할 문제가 있습니다. 즉, 테스트 벤치로 모델의 VHDL 시뮬레이션 시간을 얻고 싶습니다. 저는 ModelSim에서 일하며 ModelSim의 프로파일 러가 성능 분석을 지원한다는 것을 알고 있지만 원하는 정보가 아닙니다. 시간이 많이 걸리므로 시뮬레이션 단계가 아니라 컴퓨터에서 실시간으로 실행됩니다. 누군가가 나에게 도움을 줄 수 있었으면 좋겠다. 어쩌면 VHDL 문장이나 ModelSim 또는 다른 세 번째 파트 도구의 명령어로도 가능하다. 감사.ModelSim VHDL 실제 시뮬레이션 시간 추정

답변

1

Modelsim에는 실행중인 tcl 인터프리터가 있으므로 사용할 수 있습니다.

기능은 아마도 좋은 시작일 것입니다. 값을 처음과 끝에 저장하고 빼십시오. 예를 들어

TCL tutorial starts here.

(주의 할의 강사 : 나는 더 TCL 전문가는 아니지만, 내가 상관을 쓴 이후 그것은 오랜 시간이되었습니다 모든 :)

set start [clock seconds]  # store the start time 
run -all      # run the simulation assuming it terminates when finished! 
set finish [clock seconds]  # store the end time 
puts [ expr {finish - start} ] # print out the difference 
+0

예, 다음과 같이 제안과 같은 명령을 사용합니다. set start [clock seconds]; 1 ms를 달린다; 세트 마무리 [시계 초]; puts [expr {$ finish - $ start}] 하지만 ms, ns 또는 ps와 같은 정확한 시간이 있는지 알고 싶습니다. "seconds"가 아니라 거친 결과를 반환합니다. 감사합니다. – vivo

+0

나는 그것을 얻었다! 초 클릭 수 (ns) substitude를 사용합니다. 대단히 감사합니다. – vivo

1

당신은이를 사용할 수 있습니다

set sim_time [time {run -all}]

시뮬레이션에 필요한 시간은 마이크로 초입니다.

관련 문제