2011-09-17 5 views
2

절반 가산기의 구현을 포함하는 일부 VHDL 코드를 작성했습니다. 몇 줄의 코드이며 버그가 없습니다.GHDL의 VHDL 분석 문제

ghdl로 컴파일하면 해당 vhdl 파일에 대해 .o 파일이 생성됩니다. 내가 ghdl -e filename을 실행할 때, 다음과 같은 오류가 발생합니다

*error: cannot find entity or configuration demo* 
*/usr/lib/ghdl/bin/ghdl: compilation error* 

아무도 도와 드릴까요? 미리 감사드립니다.

답변

7

ghdl -e 명령은 파일 이름 대신 엔티티 이름을 사용합니다.

나는 ghdl 예제가 주위에 거짓말을하게되었습니다. 그것은 그렇게 간단 은 당신이 tb_adder 실행할 수있는 한 후, 컴파일을하셔야 할 입력, Makefile을 가지고 감사의 http://kapsi.fi/~jpa/stuff/other/ghdl-example.tar.gz

+0

부지를, 그것은 잘 !!!!!!!! 근무 – Quamar