2012-05-02 3 views
5

정수 형식 개체를 VHDL에서 실수 형식으로 변환하는 공통 변환 함수가 있습니까? 이것은 테스트 벤치 용이므로 synthesizability는 별 문제가 아닙니다.정수 변환 할 실제 함수

답변

6

다음과 같이 실제에 정수를 변환 할 수 있습니다

signal i: integer; 
signal R: Real; 

... 

R <= Real(i); 
관련 문제