2011-02-22 3 views

답변

16

내가했던이 오류 가지고 :

wire Q[3:0] 내가 wire [3:0] Q;

+0

감사합니다. Winston! 내 오류는 [Synth 8 - 1717]이 (가) 메모리 **에 직접 액세스 할 수 없어서 고쳐졌습니다. – Sam

0

했어야 그것은 오류가 당신이 SystemVerilog를 코드를 가져온 경우 와서 설정하지 않은 것을 자일링스 Vivado에서 또한 일반적이다 시스템 네비게이터의 소스 코드 유형. Vivado는 기본적으로 모든 것을 Verilog로 기본 설정합니다. 파일 형식이 SystemVerilog 인 경우 Verilog의 모든 것이 잘 합성되지만, 그 반대는 사실이 아닙니다.

관련 문제