0
SystemVerilog의 루핑 구문에서 동시 어설 션이 허용됩니까?시스템 Verilog의 for-loop 내부에 동시에 어설 션
module cover12(input clk, in1,in2, in3);
bit mybit;
property prop;
@(posedge clk) in1 ##1 in2 ##1 in3;
endproperty
always @(posedge clk)
begin
for(reg i =0;i<1;i=i+1)
if(mybit)
begin
assert1: assume property(prop);
end
end
endmodule
하나의 반복만으로도 'for'루프로 달성하고자하는 것을 실제로 볼 수 없습니다. –
오! 방금 예제를 이렇게 썼습니다. 짧은 테스트 케이스의 경우 실제로 테스트 케이스가 분석을 통과하지 않습니다. – user3472394
동시 어설 션은 모듈의 루핑 문 안에서 허용됩니다. –