어떻게 그 핸들을 사용하여 메서드를 호출 할 수 있도록 커버 포인트 핸들을 얻을 수 있습니까? 먼저 핸들을 인스턴스화 할 수 있도록 커버 포인트 유형을 알아야합니다. 여기 커버 포인트를 처리하는 방법은 무엇입니까?
는 예입니다 : 내가 VCS 2013.06를 사용하여 위의를 실행하면class my_coverage_class;
rand bit my_coverpoint;
covergroup my_covergroup;
option.per_instance = 1;
coverpoint my_coverpoint;
endgroup
function new;
my_covergroup = new;
endfunction
endclass: my_coverage_class
program automatic testbench;
initial begin
my_coverage_class inst = new();
begin
var type(inst.my_covergroup.my_coverpoint) cp
= inst.my_covergroup.my_coverpoint; // BREAKS HERE
cp.get_inst_coverage();
end
end
endprogram // testbench
, 내가 얻을 :
Error-[NYI] Not Yet Implemented
testbench, 16
Feature is not yet supported: Type operator not supported
참고 : 나는 $display("%s", $typename(inst.my_covergroup.my_coverpoint))
를 실행하면, 나는 <unknown>