2017-04-05 1 views
1

장고는 요청/응답주기이고 Django 채널이 다르다는 것을 알고 있습니다. 제 질문은 이것에 관한 것이 아닙니다.uWSGI Django 채널에 해당합니다.

우리는 uWSGI/gunicorn이 작업자 프로세스를 생성하고 각 요청을 스레드에서 실행하도록 구성 할 수 있음을 알고 있습니다. 10 개의 스레드가있는 단일 uWSGI 작업자 프로세스에서 "동시에"(병렬이 아닌) 10 개의 요청을 처리 할 수 ​​있습니다.

이제 각 웹 클라이언트는 제한된 이해 (바닐라 구현으로)에서 장고 채널을 사용하여 각 메시지를 단일 스레드에서 처리하게된다는 것을 의미한다고 가정합니다. 즉, x 연결 수를 처리합니다. 동시에 x 개의 채널 작업자 프로세스가 필요합니다. 나는 누군가가 프로세스의 수를 늘릴 것을 제안 할 것이란 것을 알고 있으며, 나는 이것에 관해 토론 할 곳이 아니다.

내 질문에 스레드에 소비자 기능을 실행하는 uWSGI/gunicorn과 유사한 작업을 수행하는 기존 라이브러리가 있습니까?

답변

1

나는 daphne을 요구하고 있다고 생각합니다. channels document 그 자체에 기재되어 있습니다.

관련 문제