aux가있는 clock_div_1hz_aux 매핑에 문제가 있습니다. 두 개의 포트 (aux와 clock_div_1hz_aux)를 매핑해야하는데 어떻게해야할지 모르겠다.VHDL의 버퍼 버퍼 포트
entity controler is
Port (reset : in STD_LOGIC;
clock : in STD_LOGIC;
....................
);
end controler;
component numarator
Port (
clk_num : in std_logic;
reset_num : in std_logic;
count : out std_logic_vector (3 downto 0)
);
end component;
component div_num
Port (
clock_div: in std_logic;
reset_div : in std_logic;
clock_div_1hz: buffer std_logic;
clock_bla : out std_logic
);
end component;
num1: div_num PORT MAP(
clock_div=>clock,
clock_div_1hz => clk1hz,
reset_div => reset
);
num2: numarator PORT MAP(
clk_num =>clk1hz,
reset_num =>reset,
count=>sensor_count
);
감사합니다 : 나는 image
에 설명 된대로 모든 다른 사람들은 여기에 내가 사용하는 코드는, 매핑됩니다!
왜 'clock_div_1hz'가 버퍼가됩니까? 정상적인 산출물이 아닌가? – Paebbels