VDHL 2008의 일반 입력 기능을 사용하여 여러 가지 유형의 데이터를 보유 할 수있는 레코드를 만들려고합니다. 나는 그 코드를 합성하려하지 않는다.VHDL 2008 일반 유형 기능을 사용하여 유사 동적 유형 생성
내 테스트 설정은 다음과 같습니다
당신이 볼library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity dynrec is
end entity dynrec;
architecture dyn of dynrec is
type dynrec is record -- this is a test record
datatype : type; -- type of the data field
data : datatype;
someval : natural;
end record dynrec;
signal testsig1, testsig2 : dynrec;
begin -- architecture dyn
testsig1 <= (datatype => real, data => 5.0, someval => 12);
testsig2 <= (datatype => std_logic, data => '1', someval => 12);
end architecture dyn;
은, 난 할 노력하고있어 그 중 하나가 필드의에 따라 서로 다른 유형의 데이터를 보유 할 수있는 기록을 가지고있다. 그러나 컴파일 할 때 다음 오류 때문에 실패합니다.
# ** Error: dynrec.vhd(13): near "type": expecting STRING or IDENTIFIER or << or '('
# C:/Programme/Mentor/Modelsim10.0b/win32/vcom failed.
이 작업을 수행하는 방법은 무엇입니까?
저는 mentorgraphics modelsim 10.0b를 사용하고 있고 vcom dynrec.vhd -2008
을 사용하여 컴파일했습니다.
코드를 게시하시기 바랍니다 : 시뮬레이터가 아직 완전히 일반적인 패키지를 지원하지 않는 경우
당신은 하나 http://www.osvvm.org 나 또한
http://www.synthworks.com/downloads에서 OSVVM 패키지를 얻을 수 있습니다, 당신은이 다음을 수행하여 그들을 에뮬레이션 할 수 있습니다 과 일치하는 오류 메시지 : 잘못된 줄은 게시 한 코드의 아무 곳이나 아니다. : –
@BrianDrummond 내가 줄 번호를 편집했는데, 지금 일치한다. –